Publications


2024

  • FHE-CGRA: Enable Efficient Acceleration of Fully Homomorphic Encryption on CGRAs. Miaomiao Jiang, Yilan Zhu, Honghui You, Cheng Tan, Zhaoying Li, Jiming Xu, Lei Ju. 61st ACM/IEEE Design Automation Conference (DAC), July 2024.
  • Fused Functional Units for Area-Efficient CGRAs. Ron Jokai, Cheng Tan, Jeff Zhang. The 25th International Symposium on Quality Electronic Design (ISQED), April 2024.

2023

  • VecPAC: A Vectorizable and Precision-Aware CGRA. Cheng Tan, Deepak Patil, Antonino Tumeo, Gabriel Weisz, Steve Reinhardt, Jeff Zhang. 2023 IEEE/ACM International Conference On Computer Aided Design (ICCAD), November 2023.
  • ML-CGRA: An Integrated Compilation Framework to Enable Efficient Machine Learning Acceleration on CGRAs. Yixuan Luo*, Cheng Tan*, Nicolas Bohm Agostini, Antonino Tumeo, Nirav Dave, Ang Li, Tong Geng. 60th ACM/IEEE Design Automation Conference (DAC), July 2023.
  • FLASH: FPGA-Accelerated Smart Switches with GCN Case Study. Pouya Haghi, William Krska, Cheng Tan, Tong Geng, Po Hao Chen, Connor Greenwood, Anqi Guo, Thomas Hines, Chunshu Wu, Ang Li, Antony Skjellum, Martin Herbordt, International Conference on Supercomputing (ICS), Orlando, FL, USA. June 21-23, 2023.

2022

  • An MLIR-based Compiler Flow for System-Level Design and Hardware Acceleration. Nicolas Bohm Agostini, Serena Curzel, Vinay Amatya, Cheng Tan, Marco Minutoli, Vito Giovanni Castellana, Joseph B. Manzano, David Kaeli, Antonino Tumeo. 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD), November 1, 2022.
  • A Framework for Neural Network Inference on FPGA-Centric SmartNICs. Anqi Guo, Tong Geng, Yongan Zhang, Pouya Haghi, Chunshu Wu, Cheng Tan, Yingyan Lin, Ang Li, Martin Herbordt. International Conference on Field Programmable Logic and Applications (FPL), Belfast, UK, Aug 29-Sep 2, 2022.
  • ASAP: Automatic Synthesis of Area-Efficient and Precision-Aware CGRAs. Cheng Tan, Thierry Tambe, Jeff (Jun) Zhang, Bo Fang, Tong Geng, Gu-Yeon Wei, David Brooks, Antonino Tumeo, Ganesh Gopalakrishnan, Ang Li. The 36th ACM International Conference on Supercomputing (ICS), virtual conference, June 27-30, 2022.
  • Bridging Python to Silicon: The SODA Toolchain. Nicolas Bohm Agostini, Serena Curzel, Jeff (Jun) Zhang, Ankur Limaye, Cheng Tan, Vinay Amatya, Marco Minutoli, Vito Giovanni Castellana, Joseph Manzano, David Brooks, Gu-Yeon Wei, Antonino Tumeo. (IEEE Micro), 2022. Best Paper Award.
  • FCsN: A FPGA-Centric SmartNIC Framework for Neural Networks. Anqi Guo, Tong Geng, Yongan Zhang, Pouya Haghi, Chunshu Wu, Cheng Tan, Yingyan Lin, Ang Li, Martin Herbordt. The 30th IEEE International Symposium On Field-Programmable Custom Computing Machines (FCCM), New York City, NY, USA. May 15-18, 2022.
  • DRIPS: Dynamic Rebalancing of Pipelined Streaming Applications on CGRAs. Cheng Tan, Nicolas Bohm Agostini, Tong Geng, Chenhao Xie, Jiajia Li, Ang Li, Kevin Barker, Antonino Tumeo. The 28th IEEE International Symposium on High-Performance Computer Architecture (HPCA), Seoul, South Korea, February 2022.
  • Accelerating Data Processing at the Edge with Extreme Specialization. Antonino Tumeo, Marco Minutoli, Vito Giovanni Castellana, Limaye Ankur, Cheng Tan, Dagli Ismet, Nicolas Bohm Agostini, Serena Curzel, Amatya Vinay, Manzano Joseph. ASCR Workshop on the Management and Storage of Scientific Data (ASCR), January 24, 25, and 27, 2022.
  • SO(DA)$^2$: End-to-end Generation of Specialized Reconfigurable Architectures. Antonino Tumeo, Nicolas Bohm Agostini, Serena Curzel, Ankur Limaye, Cheng Tan, Vinay Amatya, Marco Minutoli, Vito Giovanni Castellana, Ang Li, Joseph Manzano. In 13th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 11th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms (PARMA-DITAM). Schloss Dagstuhl-Leibniz-Zentrum für Informatik, 2022.

2021

  • Automated Generation of Integrated Digital and Spiking Neuromorphic Machine Learning Accelerators. Serena Curzel, Nicolas Bohm Agostini, Shihao Song, Ismet Dagli, Ankur Limaye, Cheng Tan, Marco Minutoli, Vito Giovanni Castellana, Vinay Amatya, Joseph Manzano, Anup Das, Fabrizio Ferrandi, Antonino Tumeo. 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), November 1, 2021.
  • DynPaC: Coarse-Grained, Dynamic, and Partially Reconfigurable Array for Streaming Applications. Cheng Tan, Tong Geng, Chenhao Xie, Nicolas Bohm Agostini, Jiajia Li, Ang Li, Kevin Barker, Antonino Tumeo. The 39th IEEE International Conference on Computer Design (ICCD), October 2021. Best Paper Award.
  • I-GCN: A Graph Convolutional Network Accelerator with Runtime Locality Enhancement through Islandization. Tong Geng, Chunshu Wu, Yongan Zhang, Cheng Tan, Chenhao Xie, Haoran You, Martin Herbordt, Yingyan Lin, Ang Li. 54th IEEE/ACM International Symposium on Microarchitecture (MICRO), Athens, Greece, Oct 16-20, 2021.
  • A Survey: Handling Irregularities in Neural Network Acceleration with FPGAs. Tong Geng, Chunshu Wu, Cheng Tan, Chenhao Xie, Anqi Guo, Pouya Haghi, Sarah Yuan He, Jiajia Li, Martin Herbordt, Ang Li. IEEE High Performance Extreme Computing Conference (HPEC), Sep 21-23, 2021
  • OpenCGRA: Democratizing Coarse-Grained Reconfigurable Arrays. Cheng Tan, Nicolas Bohm Agostini, Jeff Zhang, Marco Minutoli, Vito Giovanni Castellana, Chenhao Xie, Tong Geng, Ang Li, Kevin Barker, Antonino Tumeo. The 32nd IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP), Virtual Conference, July 7-8, 2021.
  • Towards Automatic and Agile AI/ML Accelerator Design with End-to-End Synthesis. Jeff (Jun) Zhang, Nicolas Bohm Agostini, Shihao Song, Cheng Tan, Ankur Limaye, Vinay Amatya, Joseph Manzano, Marco Minutoli, Vito Giovanni Castellana, Antonino Tumeo, Gu-Yeon Wei, David Brooks. The 32nd IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP), Virtual Conference, July 7-8, 2021.
  • ARENA: Asynchronous Reconfigurable Accelerator Ring to Enable Data-Centric Parallel Computing. Cheng Tan, Chenhao Xie, Tong Geng, Andres Marquez, Antonino Tumeo, Kevin Barker, Ang Li. IEEE Transactions on Parallel and Distributed Systems (TPDS), 2021.
  • AURORA: Automated Refinement of Coarse-Grained Reconfigurable Accelerators. Cheng Tan, Chenhao Xie, Ang Li, Kevin Barker, Antonino Tumeo. Design, Automation & Test in Europe Conference & Exhibition (DATE), 2021.
  • Ultra-Elastic CGRAs for Irregular Loop Specialization. Christopher Torng, Peitian Pan, Yanghui Ou, Cheng Tan, Christopher Batten. 27th IEEE International Symposium on High-Performance Computer Architecture (HPCA), Seoul 2021.

2020

  • SODA: A New Synthesis Infrastructure for Agile Hardware Design of Machine Learning Accelerators. Marco Minutoli , Vito Giovanni Castellana, Cheng Tan, Joseph Manzano, Vinay Amatya, Antonino Tumeo, David Brooks, Gu-Yeon Wei. 2020 International Conference On Computer Aided Design (ICCAD), November 2020
  • OpenCGRA: An Open-Source Unified Framework for Modeling, Testing, and Evaluating CGRAs. Cheng Tan, Chenhao Xie, Ang Li, Kevin Barker, Antonino Tumeo. The 38th IEEE International Conference on Computer Design (ICCD), October 2020.
  • CQNN: a CGRA-based QNN Framework. Tong Geng, Chunshu Wu, Cheng Tan, Bo Fang, Ang Li, Martin Herbordt, 2020 IEEE High Performance Extreme Computing Conference (HPEC), Waltham, MA, USA. Sep 22-24, 2020.

2019

  • PyOCN: A Unified Framework for Modeling, Testing, and Evaluating On-Chip Networks. Cheng Tan, Yanghui Ou, Shunning Jiang, Peitian Pan, Christopher Torng, Shady Agwa, Christopher Batten. 2019 IEEE International Conference on Computer Design (ICCD), November 2019.
  • Synergy: A HW/SW Framework for High Throughput CNNs on Embedded Heterogeneous SoC. Guanwen Zhong, Akshat Dubey, Cheng Tan, Tulika Mitra. ACM Transactions on Embedded Computing Systems (TECS), 2019.

2018

  • (Thesis) Low-Power Many-Core Architectures for the Next Generation Wearables. Cheng Tan. National University of Singapore, Nov 2018.
  • Stitch: Fusible Heterogeneous Accelerators Enmeshed with Many-Core Architecture for Wearables. Cheng Tan, Manupa Karunaratne, Tulika Mitra, Li-Shiuan Peh. 45th ACM/IEEE International Symposium on Computer Architecture (ISCA), June 2018.
  • DNestMap: Mapping Deeply-Nested Loops on Ultra-Low Power CGRAs. Manupa Karunaratne, Cheng Tan, Aditi Kulkarni, Tulika Mitra, Li-Shiuan Peh. 55th ACM/IEEE Design Automation Conference (DAC), June 2018.
  • (Extended) LOCUS: Low-Power Customizable Many-Core Architecture for Wearables. Cheng Tan, Aditi Kulkarni, Vanchinathan Venkataramani, Manupa Karunaratne, Tulika Mitra, Li-Shiuan Peh. ACM Transactions on Embedded Computing Systems (TECS), 2018.

2016

  • LOCUS: Low-Power Customizable Many-Core Architecture for Wearables. Cheng Tan, Aditi Kulkarni, Vanchinathan Venkataramani, Manupa Karunaratne, Tulika Mitra, Li-Shiuan Peh. ACM International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), October 2016. Best Paper Nomination.

2015

  • Approximation-Aware Scheduling on Heterogeneous Multi-core Architectures. Cheng Tan, Thannirmalai Somu Muthukaruppan, Tulika Mitra, Lei Ju. 20th Asia and South Pacific Design Automation Conference (ASP-DAC), January 2015.